CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - parallel to serial

搜索资源列表

  1. s2p

    0下载:
  2. 用vhdl代码写的并行转串行的程序,波形图正确,已经在板子上运行过,良好-using VHDL code written in parallel to serial procedures waveform correct, the board has been running that good
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:99367
    • 提供者:国宝
  1. bingzhuanchuan

    0下载:
  2. 这是一个用VHDL语言编写的并口转串口程序,在altera开发系统下验证通过,运用于开发板与计算机之间的通信,源程序可以提供参考-This is a use of the VHDL language Parallel to Serial procedures, In altera development system under test passed, the development of applied between the panels and computer communicatio
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1196
    • 提供者:华涛
  1. dsp_tl16c550

    0下载:
  2. dsp5416与tl16c550实现并口转串口通信程序-dsp5416 with tl16c550 achieve Parallel to Serial Communication Program
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:20585
    • 提供者:金鹤
  1. Windows Parallel&Serial Port Operation

    0下载:
  2. 并口开发实用工具的文档和源码,已根据此开发出并口调试I2C的实用工具。-Parallel to the development of practical tools and source files, this has developed parallel debugging I2C a practical tool.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:4134938
    • 提供者:
  1. liuliang_0

    0下载:
  2. 针对数字高频头芯片设计的IIC并口转串口通信协议源代码。-digital tuner chip design IIC parallel to serial communications protocol source code.
  3. 所属分类:按钮控件

    • 发布日期:2008-10-13
    • 文件大小:53525
    • 提供者:刘良
  1. parell_to_serial.rar

    0下载:
  2. 该模块主要完成并串转换功能。其中system_clk是输入并行时钟的频率,它是串行时钟serial_clk的八倍。byte_data_en是输入并行数据使能信号,byte_data是输入并行数据。serial_data是转换后的串行数据,bit_data_enable是串行数据有效信号。,The module main is completed and the string conversion functions. System_clk which is an input parallel c
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:840
    • 提供者:huangdecheng
  1. parallel_to_serial.rar

    0下载:
  2. 一个并行转串行的verilog源程序,可以讲12位并行数据转换为一个串行数据,A parallel to serial verilog source code you can transfer your parallel data to serial data.you have 12bits parallel data then you will have a serial data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:153961
    • 提供者:梅博
  1. Soft_demapping_QPSK.zip

    1下载:
  2. soft Demapping QPSK : LLR computation using Euclidian distance approach, Parallel-to-Serial converter : needs I and Q components of QPSK symbols at the input,soft Demapping QPSK : LLR computation using Euclidian distance approach, Parallel-to-Serial
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-06
    • 文件大小:654
    • 提供者:Irene MM
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用,String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1006
    • 提供者:随风
  1. ofdm_signal

    0下载:
  2. it gives serial in parallel out code for ofdm in matlab
  3. 所属分类:3G develop

    • 发布日期:2017-04-05
    • 文件大小:913
    • 提供者:Bhasker gupta
  1. parallel-to-serial-conversion

    0下载:
  2. 该模块实现的是并串转换功能,经过仿真验证没有问题-This module is designed to implement parallel to serial conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:891
    • 提供者:郭丽龙
  1. parallel-to-serial-(2-method)

    0下载:
  2. it s a code for parallel to serial data
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:965
    • 提供者:ahmed
  1. Parallel-to-Serial

    0下载:
  2. 串口大并口的通讯proteus程序及keil asm工程-Large parallel proteus serial communication procedures and keil asm Engineering
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-27
    • 文件大小:25619
    • 提供者:邱福双
  1. Serial-to-Parallel

    0下载:
  2. 并口到串口的通讯 proteus及keil asm工程文件-Parallel to serial communications and keil asm project file proteus
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-24
    • 文件大小:24514
    • 提供者:邱福双
  1. Parallel-to-Serial

    0下载:
  2. Parallel interface to serial interface -Parallel interface to serial interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:23922
    • 提供者:woody
  1. bing-to-cuan

    0下载:
  2. 基于VERILOG的并行转串行程序-Based on the parallel to serial procedures VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:157712
    • 提供者:maowentao
  1. serial-ports2

    0下载:
  2. verilog语言 12位串行数据传输转换为并行传输-12bit parallel to serial decoder and aynthesis result
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:628613
    • 提供者:eric
  1. 2-bit-parallel-to-serial-conversion-VHDL-source-c

    0下载:
  2. This page of VHDL source code covers 2 bit parallel to serial vhdl code and provides link to 2 bit serial to parallel conversion.
  3. 所属分类:Education soft system

    • 发布日期:2017-04-11
    • 文件大小:998
    • 提供者:ss
  1. parallel-to-serial

    0下载:
  2. 用Verilog语言编程实现并行转串行,并在modelsim中仿真出波形。-programming to realize parallel to serial using Verilog language , and simulating waveform in the modelsim.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:908
    • 提供者:宁倩慧
  1. Parallel-To-Serial-Converter

    0下载:
  2. Verilog Module for 8-Bit Loadable Serial/Parallel-In Parallel-Out Shift Registers with Clock Enable and Asynchronous Clear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:148251
    • 提供者:Raz
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com